Tang Nanoで内部信号のモニタリング

GOWIN FPGA DesignerにはGOWIN Analyzer Oscilloscopeという機能があって、内部信号をモニタできます。Qiitaのこちらの記事で@hi631さんがまとめていただいているので、そちら …

Tang Nanoで液晶表示テスト

GitHubにTang Nanoのサンプルがありましたので試してみました。 このサンプルの中のexample_lcd/lcd_pjtディレクトリの下のlcd_pjt.gprjをGOWIN_FPGA Designerで開い …

Tang NanoでLチカ

開発環境をインストールしたので引き続きTang NanoでLチカにトライします。基本的には、論理合成にライセンスファイルの請求が必要な Synplify Pro ではなく、ライセンスファイルの請求なしに動作する Gowi …

Tang Nano 開発環境をインストール

Tang Primerに引き続き、さらに格安なFPGAボードTang Nanoの開発環境もインストールしてみました。ShigeZoneさんで800円で買えてしまうし、よく見るとDIP40ピンのソケットに挿せそうだし、ソフ …